Welcome![Sign In][Sign Up]
Location:
Search - nios pwm

Search list

[Other resource自定义逻辑PWM的例子

Description: 是一个用vhdl语言编写的pwm程序,可以方便地用来和nios连接,实现对nios的功能扩展。-is a VHDL language with the PWM procedures can be used to facilitate connections and nios, nios to achieve a functional extension.
Platform: | Size: 10952 | Author: 石坚 | Hits:

[Other resourceNIOS PWM HAL

Description: NIOS环境PWM的USER LOGIC实例4-NIOS environment PWM USER LOGIC example 4
Platform: | Size: 3127 | Author: 黄建生 | Hits:

[Other resourceNIOS PWM inc

Description: NIOS环境PWM的USER LOGIC实例5-NIOS environment PWM USER Logic Case 5
Platform: | Size: 1417 | Author: 黄建生 | Hits:

[VHDL-FPGA-Verilog自定义逻辑PWM的例子

Description: 是一个用vhdl语言编写的pwm程序,可以方便地用来和nios连接,实现对nios的功能扩展。-is a VHDL language with the PWM procedures can be used to facilitate connections and nios, nios to achieve a functional extension.
Platform: | Size: 10240 | Author: 石坚 | Hits:

[VHDL-FPGA-Verilogavalon_slave_pwm

Description: NIOS环境PWM的USER LOGIC实例1-NIOS environment PWM USER an example LOGIC
Platform: | Size: 1024 | Author: 黄建生 | Hits:

[VHDL-FPGA-Veriloghello_2pwm

Description: NIOS环境PWM的USER LOGIC实例1-NIOS environment PWM USER an example LOGIC
Platform: | Size: 2048 | Author: 黄建生 | Hits:

[VHDL-FPGA-Verilogreg_file

Description: NIOS环境PWM的USER LOGIC实例3-NIOS environment PWM USER Logic Example 3
Platform: | Size: 2048 | Author: 黄建生 | Hits:

[VHDL-FPGA-VerilogNIOS PWM HAL

Description: NIOS环境PWM的USER LOGIC实例4-NIOS environment PWM USER LOGIC example 4
Platform: | Size: 3072 | Author: 黄建生 | Hits:

[VHDL-FPGA-VerilogNIOS PWM inc

Description: NIOS环境PWM的USER LOGIC实例5-NIOS environment PWM USER Logic Case 5
Platform: | Size: 1024 | Author: 黄建生 | Hits:

[Embeded-SCM Developpwm_source

Description: ALTERA PWM電路 這是一個ALTERA的PWM電路,可以整合到NIOSII IDE中,來完成一個PWM的系統。-Altera PWM circuit Altera This is a PWM circuit, NIOSII can be integrated into the IDE, to complete a PWM system.
Platform: | Size: 11264 | Author: Faye Tung | Hits:

[VHDL-FPGA-VerilogPWMnios

Description: niosPWM可以在SOPC builder中实现PWM功能的自定制,通过PWM口可实现对电机的调速。-niosPWM SOPC builder can achieve PWM function of customized, PWM through the mouth can be realized right motor speed control.
Platform: | Size: 26624 | Author: yzhuai | Hits:

[Embeded-SCM DevelopUSB_test

Description: Altera公司的NIOS2SOPC平台上的USB使用试验程序。 Quartus2软件版本5.0 NIOS2IDE软件版本5.0 硬件平台根据软件需求在Quartus2软件中构建。-Altera Corporation NIOS2SOPC platform USB using the test procedure. Quartus2 software version 5.0NIOS2IDE software version 5.0 hardware platform according to software requirements to build in Quartus2 software.
Platform: | Size: 22528 | Author: zt g | Hits:

[VHDL-FPGA-Verilogsopc

Description: SOPC实验--自定义PWM组件:以带一个Avalon Slave 接口的PWM 组件为例,说明如何自定义组件。,一个Avalon Slave 接口可以有clk、chipselect、address、read、readdata、write 及writedata 等信号,但这些信号都不是必需的。 一、功能 我们要实现的PWM 组件具有以下功能: 1. PWM 的周期可改,用period 寄存器存储; 2. PWM 的占空比可改,用duty 寄存器存储。 二、Avalon Slave 接口信号的设计 1.Clk:为PWM 提供时钟; 2.Write:写信号,可以通过Avalon Slave 总线将period 和duty 值从Nios II 应用程序 传送到组件逻辑中。 3.Writedata:写数据。通过此数据线传送period 和duty 值。 4.Address:本例中有两个寄存器,因此可用一根地址线表示。 5.全局信号。本例中PWM 的输出用来驱动LED 灯显示,这个信号不属于Avalon 接 口信号。
Platform: | Size: 7165952 | Author: 黄龙 | Hits:

[VHDL-FPGA-Verilogsvpwm_full_nios

Description: 这是我毕业设计做的一个SVPWM同步永磁交流电机的控制系统,里面除了一个SVPWM的驱动算法之外,还有一个步进电机的控制器,以及基于QUARTUS7.2的NIOS II控制核心,通过PC的串口可以控制同步永磁交流电机和步进电机进行精确的定位。该系统较复杂,运用的知识也比较多,在SVPWM算法,PID算法,步进电机控制方面,NIOS II的串口编程等都有值得参考的地方。最好使用QUARTUS7.2编译,目标芯片是选用EP1C6Q240-This is my graduation project SVPWM make a permanent magnet AC synchronous motor control system, which apart from a driver SVPWM algorithm, there is a stepper motor controller, as well as QUARTUS7.2 based on the NIOS II control core, through PC serial port can be controlled permanent magnet AC synchronous motor and stepper motor for accurate positioning. The system is more complicated, the use of more knowledge, in the SVPWM algorithm, PID algorithm, stepper motor control, NIOS II serial programming, such as places are worth considering. QUARTUS7.2 compile the best use of the target chip is optional EP1C6Q240
Platform: | Size: 13167616 | Author: 汉武帝 | Hits:

[VHDL-FPGA-Verilogpwm_hw

Description: sopc nios ii学习资料介绍niosii 开发自定义外设pwm的verilog源代码-Learning sopc nios ii information on the development of custom peripherals niosii the verilog source code pwm
Platform: | Size: 4096 | Author: 顾勇 | Hits:

[Embeded-SCM Develop1

Description: Avalon总线的pwm定制,在niosII下定制了PWM通过avalon总线链接到niosII上,绝非一般的实验,应用在实际的工控项目中。-Avalon bus pwm custom, under the custom of the PWM in the niosII by avalon bus link to niosII on the experiment in general not applied in real industrial control projects.
Platform: | Size: 584704 | Author: 陈泸华 | Hits:

[Other61EDA_C1718

Description: Nios II元件封装经典例子PWM Nios II元件封装经典例子PWM-nios ii pwm niosii
Platform: | Size: 13312 | Author: zhu | Hits:

[VHDL-FPGA-Verilogpwm

Description: 利用Verilog语言产生17路PWM波,控制17路舵机,可以作为IP核添加到AVALON总线上,在nios IDE里用C语言控制。-Using Verilog language production of 17 Road PWM signal to control 17 Servos, can be used as IP core to the AVALON bus, in the nios IDE in control with the C language.
Platform: | Size: 3072 | Author: 尹长生 | Hits:

[Other Embeded programpwm

Description: NIOS上的PWM代码 硬件实现 实现了可自由定义的,自定义相位,自定义死去时间,自定义占空比-NIOS code on the hardware PWM to achieve a free-defined, custom phase, custom die time, the custom duty
Platform: | Size: 1024 | Author: Mooch | Hits:

[VHDL-FPGA-Verilogpwm

Description: PWM的NIOS II IP核设计源文件,其频率和脉宽均可控-PWM IP core design source files of the NIOS II , It s frequency and pulse-width words can be controlled
Platform: | Size: 1024 | Author: zgm | Hits:
« 12 »

CodeBus www.codebus.net